IIEST, Shibpur

Indian Institute of Engineering Science and Technology, Shibpur

(Formerly Bengal Engineering and Science University, Shibpur)

Empowering the nation since 1856

आई आई ई एस टि, शिवपुर

भारतीय अभियांत्रिकी विज्ञान एवं प्रौद्योगिकी संस्थान, शिवपुर

(पूर्व में बंगाल इंजीनियरिंग एंड साइंस यूनिवर्सिटी)

१८५६ से देश को सशक्त बनाना

Pranab Roy
vlsi-pranab roy Pranab Roy
Assistant Professor, School of VLSI
 
  • M.Tech(I.T.).
 

 

Contact Addresses
  Residence 42 B Mahendra Sarkar Street,
Kol-700 012, West Bengal, India
  Phone (office) +91 - 33 - 22270143
  Mobile +91 - 9433800260
  email

ronmarine@yahoo.co.in

 

 

Research Areas
  • Biochip design Automation.
  • Embedded System.
  • Algorithm and data structures.
  • VLSI physical design.

 

Recent Publications
  • Pranab Roy,Aatreyi Bal,Tamosa Chakraborty,Mriganka Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta,“ Optical detection in Biochips: A fuzzy based detection analyzer for homogeneous samples in DMFBs ” – IEEE CYBER,Hongkong,China,2014(accepted)
  • Pranab Roy, Aatreyi Bal, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta,“ Automated two stage detection and analyzer system in Multipartitioned Digital Microfluidic Biochips ”- IEEE,ISCAS ,Melbourne,Australia, 2014 (accepted)
  • Pranab Roy,Hafizur Rahaman,Parthasarathi Dasgupta,“A layout based customized testing technique for total microfluidic operations in Digital Microfluidic Biochips ”- IEEE,DDECS,Warsaw,Poland,2014(accepted)
  • Pranab Roy,Samadrita Bhattacharya,Rupam Bhattacharya,Firdousi Jamil Imam,Hafizur Rahaman,Parthasarathi Dasgupta ,“ A novel wire planning technique for optimum pin utilization in Digital Microfluidic Biochips ”–Proc. of 27th IEEE International conference of VLSI Design,2014,Mumbai,India.
  • Pranab Roy,Samadrita Bhattacharya,Rupam Bhattacharya,Hafizur Rahaman,Parthasarathi Dasgupta,“A new method for route based synthesis and placement in Digital Microfluidic Biochips ”Proc. Of VDAT ,Springer CCIS, Jaipur ,India,2013.
  • Nachiketa Das, Pranab Roy, Hafizur Rahaman: Bridging fault detection in cluster based FPGA by using Muller C element. Computers & Electrical Engineering 39(8): 2469-2482 (2013)
  • Pranab Roy, ,Aatreyi Bal, Mahua Raha Patra ,Hafizur Rahaman,Parthasarathi Dasgupta ,“ Feedback based automated detection analysis in Digital Microfluidic Biochip Systems ”,-Proc. of IEEE International Conference on Control, Automation, Robotics and Embedded systems (CARE-2013),Jabalpur, India
  • Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta,“An intelligent Biochip System for Diagnostic Process Flow based Integration of Combined Detection Analyzer”,- Proc. of IEEE ISED,Singapore ,December,2013
  • Pranab Roy,Parthasarathi Gupta,Hafizur Rahaman,Parthasarathi Dasgupta,“A new customized testing technique using a novel design of droplet motion detector for digital microfluidic Biochip systems” – Proc of IEEE ICACCI, Mysore ,India,2013
  • Pranab Roy,Rupam Bhattacharya,Pampa Howladar,Hafizur Rahaman,Parthasarathi Dasgupta,“A new cross contamination aware routing method with intelligent path exploration in Digital Microfluidic Biochips ”Proc. Of IEEE DTIS ,Abu Dhabi,UAE,2013
  • Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta,“Digital Microfluidic System:A new design for heterogeneous sample based integration of multiple DMFBs ”IEEE,ISCAS ,Beijing,China, 2013
  • Pranab Roy, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta,“A new digital analyzer for optically detected samples in Digital Microfluidic Biochips ”Proc. Of IEEE MWSCAS, Boise, Idaho, US,2012 pp -462-465
  • Pranab Roy, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta,“System on Biochips: A new design for integration of multiple DMFBs” Proc. Of IEEE ISED,Kolkata ,India,2012
  • Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta,“ A New design of a dual mode Bioassay detection analyzer for digital microfluidic biochips” Proc. Of IEEE CODIS 2012,Jadabpur, Kolkata,India ,pp. 310-313.
  • Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta, “ Novel designs of Digital detection analyzer for intelligent detectionand analysis in digital microfluidic Biochips” Proceedings of IEEE IDT , Doha, Qatar 2012.
  • Pranab Roy, Mahua Raha Patra, Hafizur Rahaman, Parthasarathi Dasgupta,“Automated parallel detection based analyzer System for integrated bioassays in Digital Microfluidic Biochips ” Proc of IEEE El Nano ,kiev,Ukraine 2012
  • Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta, Bhargab B. Bhattacharya ,“A New Look Ahead Technique for Customized Testing in Digital Microfluidic Biochips. ”ATS 2012,Nigata,Japan: pp.25-30
  • Pranab Roy, Hafizur Rahaman, Parthasarathi Dasgupta ,“Two-level clustering-based techniques for intelligent droplet routing in digital microfluidic biochips. ”Elsevier Integration 45(3): 316-330 (2012)
  • Pranab Roy, Rupam Bhattacharjee, Modud Sohid, Sudipta Chakraborty, Hafizur Rahaman, Parthasarathi Dasgupta “An intelligent compaction technique for pin constrained routing in cross referencing digital microfluidic biochips.”- CODES+ISSS 2012,Tempere,Finland: pp.423-432
  • Pranab Roy, Rupam Bhattacharjee, Hafizur Rahaman, Parthasarathi Dasgupta: A New Algorithm for Routing-Aware Net Placement in Cross-Referencing Digital Microfluidic Biochips. ISVLSI 2012,Amherst,MS,US: pp. 320-325
  • Pranab Roy, Hafizur Rahaman, Parthasarathi dasgupta ,‘ Modelling, detection and diagnosis of multiple faults in Cross referencing DMFBs’,International conference on Informatics ,Electronics and Vision, Proc. Of IEEE ICIEV,2012,Dhaka,Bangladesh.
  • Pranab Roy, Hafizur Rahaman and P.S.Dasgupta,”A novel high performance routing technique for Cross-referencing DMFBs", Proc. Of IEEE International Conference on Biomedical Engineering (ICOBE 2012,Penang,Malaysia).
  • Pranab Roy, Rupam Bhattacharya, Hafizur Rahaman and Parthasarathi Dasgupta. “A Best Path Selection Based Parallel Router For DMFBs,” IEEE International Symposium on Electronic Design ISED 2011,Kochi,India, pp.176-181.
  • Nachiketa Das, Pranab Roy and Hafizur Rahaman,”Runtime Congestion and Crosstalk Aware Router for FPGA Using Jbits3.0 for Partial Reconfigurable Application”, IEEE International Symposium on Electronic Design (ISED 2011) , pp.146-151.
  • Pranab Roy, Sukanta Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, “A Novel Placement algorithm for Multi-pin Digital Microfluidic Biochips”, IEEE MWSCAS 2011, pp.1-6.
  • Nachiketa Das, Pranab Roy, and Hafizur Rahaman, “New Technique for Testing of Delay fault in Cluster Based FPGA”, , IEEE MWSCAS 2011, pp.1-6.
  • Pranab Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, “Route Aware Placement Technique for Intelligent Collision Avoidance in Digital Microfluidic Biochips”, IEEE ASQED 2011, pp.85-90.
  • Nachiketa. Das,Pranab Roy,Parthasarathi Dasgupta and H. Rahaman, “Build-In-Self-Test of FPGA For Diagnosis of Delay Fault”, IEEE ASQED 2011, pp.54-59.
  • Nachiketa Das, Pranab Roy, and Hafizur Rahaman, “On-Line Detection of Crosstalk Fault in FPGA Using BIST Model,” VLSI Design and Test Symposium (VDAT 2011), 2011.
  • Pranab Roy, Hafizur Rahaman, and Parthasarathi Dasgupta, “A Group-Preferential Parallel-Routing Algorithm for Cross-referencing Digital Microfluidic Biochips”, IEEE/ACM ISVLSI 2011, pp.317-318.
  • Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta “Hierarchical Multi-pin droplet routing in Digital Microfluidic Biochips with Intelligent Collision Avoidance”, ACM Great Lakes Symposium on VLSI 2011 (GLSVLSI 2011), pp.229-234.
  • Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, “A Multipin droplet routing algorithm for Digital Microfluidic Biochips biodevices”, Biodevices, 2011 pp.217-223.
  • Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, “A Novel Droplet Routing Algorithm for Digital Microfluidic Biochips”,ACM/IEEE GLSVLSI 2010, pp.441-446.
  • N. Das, P. Roy, D. K. Das and H. Rahaman, “Feedback Bridging Fault Detection in Cluster Based FPGA by Using Muller-C Element”, IEEE ICFCC 2009.
  • N. Das, P. Roy, and H. Rahaman, “On Line Testing of Single Feedback Bridging Fault in Cluster Based FPGA by Using Asynchronous Element”, proc. of IEEE International On-Line Testing Symposium 2008, pp.190-191.
  • S. Ghosh, P. Ray, S. P. Maity and H. Rahaman, “Spread Spectrum Image Watermarking with Digital Design”, IEEE International Advance Computing Conference (IACC’09), March 6-7, 2009, India, pp. 2118-2123.
  • Pranab Roy, Hafizur Rahaman and Parthasarthi DasGupta, , “Cluster Based Routing For Multi Pin Droplets In Digital Microfluidic BiochipsWith Intelligent Collision Avoidance”-VLSI Design and Test Symposium (VDAT 2011), 2011.
  • Pranab Roy,Tuhina Samanta,Hafizur Rahaman,Parthasarathi Dasgupta, , “NewTechniques for Droplet Routing in Digital Microfluidic Biochips” VDAT,2010 ,Chandigarh, India.
  • Debnath Bhattacharyya, Arpita Roy, Pranab Roy, and Tai-hoon Kim,“ Receiver Compatible Data Hiding in Color Image”, International Journal of Advanced Science and Technology, volume 6, May, 2009,pp 15-24.
  • Suman Bhattacharjee,Subhasree Bhattacharjee,Amit Konar and Pranab Roy, “Throughput Analysis for a Dynamic Spectrum Sharing Model with Finite Primary Users and Infinite secondary Users”, IEEE, ICCSIT, 2011, Chengdu,China
  • Dipankar .Bhattacharya, B.Sarkar and Pranab.Roy,“ Effect of template matching in vehicle number plate identification ”, IEEE,ICCSIT,2011,Chengdu,China

 

Courses Undertaken

 


School of VLSI, BESU, Shibpur - 7111 03, INDIA